Arteris FlexNoC 5 - Industry’s First Physically Aware Network-on-Chip IP

Accelerate system-on-chip development with FlexNoC 5 from Arteris, the leading network-on-chip interconnect IP that is used by the top semiconductor and system design teams worldwide. Learn about the latest generation FlexNoC 5 interconnect with its integrated physical awareness technology that gives place and route teams an advanced starting point while simultaneously reducing interconnect area and power consumption. Here’s what you’ll learn in the webinar:

• Explore the market drivers shaping the future of SoC design.
• See how existing methodologies are inefficient and risky in modern times.
• Learn why physical awareness is critical to faster physical convergence and tapeout success.

   

Watch Webinar Now!