FlexNoC 5 is Arteris' latest network-on-chip (NoC) interconnect IP, designed to revolutionize system-on-chip (SoC) design through its advanced physical awareness capabilities. It significantly enhances SoC layout quality and productivity by optimizing interconnects, reducing development time, improving performance, lowering power consumption, and minimizing die size. FlexNoC 5 supports a variety of topologies and is trusted by industry leaders for its performance and resilience in mobile, automotive, consumer, and enterprise applications. The IP supports essential industry-standard protocols and features built-in physical awareness, facilitating efficient routing and timing closure. Additionally, its Functional Safety (FuSa) option meets up to ASIL D requirements, making it suitable for safety-critical applications.

What you’ll learn:

  • Physical Awareness and Layout Optimization: FlexNoC 5 enhances SoC design with advanced physical awareness, optimizing layout and timing closure, improving performance, and reducing area cost.
  • Performance and Power Management: FlexNoC interconnect IP optimizes SoC performance with various topologies and advanced power management capabilities, contributing to energy efficiency and battery life extension.
  • Functional Safety and Reliability: FlexNoC provides hardware-based data protection and meets ISO 26262 functional safety standards up to ASIL D, ensuring reliability for safety-critical applications.

Download Now!